Friday, 18 November 2016

Displaying Given Data ( Verilog Program )


module display_data;

initial begin
$display(" ");
$display("www.vhdl4all.blogspot.com");
$display(" ");
#10 $finish;
end

endmodule  







No comments:

Post a Comment